PicoBlaze

PicoBlaze est la désignation d'une série de trois processeurs softcore faits par Xilinx pour être utilisés sur leurs FPGA et CPLD.



Catégories :

Processeur softcore - Microprocesseur

Recherche sur Google Images :


Source image : vhdl33.free.fr
Cette image est un résultat de recherche de Google Image. Elle est peut-être réduite par rapport à l'originale et/ou protégée par des droits d'auteur.

Page(s) en rapport avec ce sujet :

  • The PicoBlaze for Virtex-II Series FPGAs reaches performance levels of up to 55 MIPS.... PicoBlaze complements the Xilinx MicroBlaze™ 32-bit soft processor... (source : china.xilinx)
  • In this article popular RISC CPU cores suitable for FPGA implementation are described... http ://www. xilinx. com/products/ipcenter/ picoblaze -S3-V2-Pro. htm... (source : 1-core)

PicoBlaze est la désignation d'une série de trois processeurs softcore faits par Xilinx pour être utilisés sur leurs FPGA et CPLD. Ils sont basés sur une architecture RISC de 8 bits et peuvent atteindre une vitesse de 100 millions d'instructions par seconde sur la famille de FPGA Virtex 4. La licence des cœurs en permet une utilisation libre, mais uniquement sur les appareils Xilinx. Des outils de développement sont apportés par le constructeur, mais des outils tiers sont disponibles, surtout chez Mediatronix. Il existe aussi une implémentation libre, indépendante du composant, disponible sous licence BSD sous le nom de PacoBlaze.

La conception du PicoBlaze s'appelait à l'origine KCSPM (pour Constant (K) Coded Programmable State Machine, jusque là Ken Champan's PSM). Ken Chapman était le concepteur dispositif de Xilinx qui avait découvert et conçu le microcontrôleur[1].

Lorsque on instancie un microcontrôleur PicoBlaze en VHDL, le nom du composant KCSPM respectif doit être utilisé[2]. par exemple, pour un processeur PicoBlaze3 :

component kcpsm3 is
  port (
    address       : out std_logic_vector(9 downto 0);
    instruction   : in std_logic_vector(17 downto 0);
    port_id       : out std_logic_vector(7 downto 0);
    write_strobe  : out std_logic;
    out_port      : out std_logic_vector(7 downto 0);
    read_strobe   : out std_logic;
    in_port       : in std_logic_vector(7 downto 0);
    interrupt     : in std_logic;
    interrupt_ack : out std_logic;
    reset         : in std_logic;
    clk           : in std_logic
    );
end component;

Références

  1. Microcontrôleur PicoBlaze 8-bit, Xilinx, Inc.. Consulté le 2007-06-25.
  2. Guide utilisateur du PicoBlaze 8-bit, Xilinx, Inc.. Consulté le 2007-06-25.

Liens externes

Sources


Recherche sur Amazone (livres) :




Ce texte est issu de l'encyclopédie Wikipedia. Vous pouvez consulter sa version originale dans cette encyclopédie à l'adresse http://fr.wikipedia.org/wiki/PicoBlaze.
Voir la liste des contributeurs.
La version présentée ici à été extraite depuis cette source le 07/04/2010.
Ce texte est disponible sous les termes de la licence de documentation libre GNU (GFDL).
La liste des définitions proposées en tête de page est une sélection parmi les résultats obtenus à l'aide de la commande "define:" de Google.
Cette page fait partie du projet Wikibis.
Accueil Recherche Aller au contenuDébut page
ContactContact ImprimerImprimer liens d'évitement et raccourcis clavierAccessibilité
Aller au menu